Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Unknow Timing Arc in Innovus Post-Route Timing Report

Dedong

Newbie
Joined
Sep 6, 2023
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
42
Hi,

This is no instance, no delay but just arrival and required time of the first timing arc in Innovus post-route timing report.

Could I ask where the arrival and required time come from?

Attached are the timing report and the applied sdc file generated from Genus.

Thanks!
 

Attachments

  • sdc.txt
    98.1 KB · Views: 59
  • timing_full_clock.txt
    8.3 KB · Views: 73
Required = (clock period) - (external/internal delay) - (uncertainty)
Arrival = what you actually get when the path was timed
Slack = required - arrival
 
1250 period - 125 uncertainty - 375 output delay at the port is required time at the port.
= 750 ps


as for the arrival time, its the actual delays you see in your design (clk network. data path delays)

The bit i am not sure of - the phase shift number...
 
1250 period - 125 uncertainty - 375 output delay at the port is required time at the port.
= 750 ps


as for the arrival time, its the actual delays you see in your design (clk network. data path delays)

The bit i am not sure of - the phase shift number...
phase shift = clock period in 99% of the cases.

exception #1: some portions of the design can have multi cycle paths, so you will see numbers that are N*period, with N in [1,2,3,...]

exeption #2: your design might have logic that operates on negedge and posedge, so phase shift will be clock_period/2.
 

LaTeX Commands Quick-Menu:

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top