Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

timing constraints for bidirectional IO pads

Qwerty112233

Member level 2
Joined
May 21, 2021
Messages
43
Helped
1
Reputation
2
Reaction score
2
Trophy points
8
Activity points
368
The IO pads shows 12-15 pins and some of them are bi-directional. Do you need anything special while writing timing constraints on IO pads?

If someone has done this before, any guidance is appreciated. Right now there's enough reg2reg crap so i have IO timing false pathed.
 

LaTeX Commands Quick-Menu:

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top