Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Design compiler warning

Status
Not open for further replies.

siva_7517

Full Member level 2
Joined
Jan 16, 2006
Messages
138
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
2,401
Hi,

I am using design compiler to do synthesis.
I am having a warning after doing the compile. The warning state there is a 1 potential problem in design.When i do a check_design there are alot of port is not connected.
Below is few warning that is given by design_compiler. i have no idea where i went wrong.


Information: There are 1 potential problems in your design. Please run 'check_design' for more information. (LINT-99)



Warning: In design 'stage1_2_0_DW01_add_4', port 'CI' is not connected to any nets. (LINT-28)
Warning: In design 'stage1_2_0_DW01_add_4', port 'CO' is not connected to any nets. (LINT-28)
 

I guess there is counter in your design, this waring is found after maping your design to a designware
adder and when optimzation stage is finished,
this problem will disappear.
So I guess you'll don't need worry about that.
 

    siva_7517

    Points: 2
    Helpful Answer Positive Rating
hi,

Yes, there is a counter in my design. Eventually, when i do a gate level simulation i cant get the correct output.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top