Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Zero Delay simulation in Modelsim or ActiveHDL ...

Status
Not open for further replies.

Oveis.Gharan

Full Member level 4
Joined
Oct 21, 2006
Messages
197
Helped
34
Reputation
68
Reaction score
25
Trophy points
1,308
Location
Iran
Activity points
2,502
Dear all
Is there anyone how know how can i simulate my post-synthesis simulation with zero delay mode. All the standard cells in library were set as unit delay and i want to set the delay as zero without library modification. Is there any switch in Modelsim or ActiveHDL simulation to nutralize all the timing lables and simulate all the system with zero delay gates?

Thanks in advance.
Oveis
 

Active-HDL
Zero Delay (Batch mode, Implementation Options Dialog Box)
By default, all non-zero delays are written to the appropriate output delay file. If this option is checked, no delays will be calculated or written to the output file.
 
Dear yurav and ljxpjpjljx

Thanks for your attentions.
I have found just now the solution.
With "+time_mode_zero" switch in alog or vlog compile command, the simulation could be done as zero delay.

Thanks all for comments.
Best regards.
Oveis.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top