Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VCS error: Undefined system task call

Status
Not open for further replies.

edaboy8

Newbie level 2
Joined
May 17, 2010
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
USA
Activity points
1,299
VCS Error

I am trying to simulate testbench in VCS. It is a simple DFF testbench but some how vcs is giving me

Error [UST] Undefined system task call

undefined system task call to ' $vcdpluson ' error
Please recompile with '-debug _pp' compile time option.

I have defined $vcdpluson

initial
begin
$vcdpluson();
end


FYI - without vcdpluson everything works fine and i get simv working fine.

I would like to check waveforms...
Is it a license issue.
 

VCS Error

Hi

two points you must be noticed.

1. In order to enable all the features of vcs, you will need to put the following statement at the very beginning of your "initial" block.

2. use vcs in with this command
vcs -RI -Mupdate -line verilog_files


tnx
 

Re: VCS Error

Hi

two points you must be noticed.

1. In order to enable all the features of vcs, you will need to put the following statement at the very beginning of your "initial" block.


Which two statements you are talking about?

2. use vcs in with this command
vcs -RI -Mupdate -line verilog_files

Should I copy this exactly in my command line?
"vcs -RI -Mupdate -line verilog_files"


This gives me "Unknown options passed " error

tnx
 

You should do as the follow:
1. Add "-PP" in your vcs command, like this: vcs -Mupdate -PP ..
2. Add the below into your RTL testbench:
initial begin
$dumpfile("sim.vcd");
$dumpvars;
end

So you can get the wave file with name of sim.vcd
 

Re: VCS Error

Hi,
Your answer lies in your Question itself, read below:

edaboy8 said:
I am trying to simulate testbench in VCS. It is a simple DFF Error [UST] Undefined system task call

undefined system task call to ' $vcdpluson ' error
Please recompile with '-debug _pp' compile time option.


FYI - without vcdpluson everything works fine and i get simv working fine.

I would like to check waveforms...
Is it a license issue.

So re-run with: vcs -debug_pp file.v

Good Luck
TeamCVC
www.cvcblr.com/blog
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top