Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Three Dimentional Memory??

Status
Not open for further replies.

venkat3271

Full Member level 2
Joined
May 7, 2007
Messages
141
Helped
6
Reputation
12
Reaction score
1
Trophy points
1,298
Location
Chennai
Activity points
2,119
Hi everybody,

Whether we can create three dimentional array in verilog?. I can declare it and simulator gives no error.. But its' not synthesises... My synthesis tool gives error.. Is there any other way to use 3 D array...?
 

I'm not aware of any synthesis tools that support 3-dimensional arrays at this time. The simple reason being that 3-dimensional memories don't exist. You'll have to code the block/array selector in a wrapper.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top