Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Simultaneous read and write in memory

fragnen

Full Member level 3
Joined
Apr 3, 2019
Messages
182
Helped
0
Reputation
0
Reaction score
1
Trophy points
18
Activity points
1,299
What phenomenon happens when a read and write operation is requested at the same clock cycle for a single port memory?
 
When a read and write operation is requested at the same clock cycle for a single-port memory, a conflict known as a "read-write conflict" or "read-modify-write conflict" can occur. As a solution, the conflicting requests can be queued or buffered temporarily until the memory becomes available to process the pending operation.
 
I haven't seen any single port memory which can do simultaneous read and write in the same cycle. There's always a control signal input to indicate whether it is a read or write operation for the particular clock cycle. Read-modify-write is a higher-level operation, it involves multiple cycles of memory access.
 
A single port memory with separate read and write data lines can perform simultaneous read and write. Specification may e.g. require old data to appear at the read port. Obviously the behaviour depends on internal memory structure, registering of signals etc.
 

LaTeX Commands Quick-Menu:

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top