Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with Design Compiler an tetramax

Status
Not open for further replies.

danilo

Newbie level 1
Joined
May 30, 2007
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
Hi

I mada a synthesys with design Compiler and the process ends without error.
I saved the netlist in verilog format.
I try to read this netlist with Tetramax, but the bus / wire contention ability check fails due to a wire gate.
The error is

Error: Wire gate (997) failed contention ability check for drivers 994 and 996. (Z3-1)

Any suggestion?

Thanks a lot in advance
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top