how to display all the timing paths between input and output ports (design compiler)

Status
Not open for further replies.

vikipsg

Newbie level 3
Joined
Feb 11, 2011
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Los Angeles, CA
Activity points
1,298
Hi,

I am using Design compiler. I have to display all the paths between input and output ports of a design, including those that do not violate the timing constraint.

Can you all help me how to write the script to report this?

Thanks & Regards,
Vignesh
 

set in_ports [get_ports [list "list all your input ports here"]] ; ##or you can try set in_port [all_inputs]
set out_ports [get_ports [list "list all your output ports here"]]; ##or you can try set in_port [all_outputs]
set in_to_out_paths [get_timing_path -from $in_ports -to $out_ports -nmorst 1000000000 -max_paths 1000000000]
foreach_in_collection sig_path $in_to_out_paths {
set start_in_port [get_attribute $sig_path startpoint]
set end_out_port [get_attribute $sig_path endpoint]
set start_name [get_object_name $start_in_port]
set end_name [get_object_name $end_out_port]
puts "your_log_file_name" "$start_name -> $end_name"
}
 
Reactions: vikipsg

    V

    Points: 2
    Helpful Answer Positive Rating

    vikipsg

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…