Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How does these display work making the log report coloured for required word

Status
Not open for further replies.

viveks2585

Newbie level 6
Joined
Feb 1, 2013
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
India
Activity points
1,355
Hi,

This is a UVM code which shows some display statements.

if ( fatal_count > 0 || error_count > 0 )
$display( "*** %s SIMULATION FAILED %s ***",
white_chars_on_red, reset_color );
else if ( warning_count > 0 )
$display( "*** %s SIMULATION PASSED (warnings=%0d) %s ***",
black_chars_on_yellow, warning_count, reset_color );
else
$display( "*** %s SIMULATION PASSED %s ***",
black_chars_on_green, reset_color );

First question is how does this make the log file to become coloured for a particular work and secondly how does this work.

Thanks,
Vivek.S
 

That's not a good thing to see $display's in UVM code.

In any case, You'll have to look at the source code they are using that sends ASCII control codes to the log file that change the color of the terminal when viewed on a certain terminal window.

This is not part of the UVM, but there are user contributed packages to add coloring to your log files. Here is one example
**broken link removed**
 
Hi,

Thank you for the post. It was helpfull for understand the requirement,

Thanks,
Vivek.S
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top