Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Does Encounter RTL Compiler support SystemVerilog ?

Status
Not open for further replies.

VitalyM4

Junior Member level 3
Joined
Jan 18, 2008
Messages
28
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
1,459
Hi!

Does Encounter RTL Compiler support SystemVerilog for synthesis?
Is it feasible to use SV in new projects? It supports well with Cadence tools?
Will I have posibility to do mixed simulations?

Thx
 

VitalyM4 said:
Hi!

Does Encounter RTL Compiler support SystemVerilog for synthesis?
Is it feasible to use SV in new projects? It supports well with Cadence tools?
Will I have posibility to do mixed simulations?

Thx

Yes, RC supports SystemVerilog for Design. Mixed sim - yes with IUS. Look at www.noveldv.com for SystemVerilog trainings.

Ajeetha, CVC
www.noveldv.com
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top