Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Assignments in SystemVerilog always block

Status
Not open for further replies.

jaromirkolouch

Newbie level 3
Joined
May 7, 2010
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,309
In Verilog, a commonly known rule states that in always blocks, only blocking or only nonblocking assignments should be used, not a mix in one block.
Could anybody tell whether a similar rule is valid in SystemVerilog? I have seen worked-out designs of an experienced designer with a mixture of assignments type in one block but I did not find a syntactical rule about it in SV.
Thank in advance.
 

You might want to check the new always_ff, always_comb and always_latch blocks. So for example this here link.
 

You might want to check the new always_ff, always_comb and always_latch blocks. So for example this here link.

Thank for reply. I am, of course, aware of the always_ff, always_latch and always_comb blocks (maybe, I have had to write it in my question but I supposed it is obvious). Nevertheless, there is no answer to my question in the link.
 
Last edited:

Thank for reply. I am, of course, aware of the always_ff, always_latch and always_comb blocks (maybe, I have had to write it in my question but I supposed it is obvious). Nevertheless, there is no answer to my question in the link.

Heheh, no way that I was going to assume that you knew about it. Of course it seems reasonable. But then again, you should read some other posts on this forum and then you'd come to the conclusion that no matter how trivial ... best to make NO assumptions whatsoever. :p

Now that we have that assumption out of the way ... yes in SV it remains the same. In a single block, don't mix blocking / non-blocking.
 

Heheh, no way that I was going to assume that you knew about it. Of course it seems reasonable. But then again, you should read some other posts on this forum and then you'd come to the conclusion that no matter how trivial ... best to make NO assumptions whatsoever. :p

Now that we have that assumption out of the way ... yes in SV it remains the same. In a single block, don't mix blocking / non-blocking.

Thank again. I supposed the rules of Verilog remain valid in SV but i wonder that the mentioned experienced and well-known designer and SV textbook author does the mix in his book without commenting or explanation.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top