Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

error inside the always block due to module instantiation?

Status
Not open for further replies.

ryan1122

Newbie
Joined
Nov 15, 2020
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
62
I want to perform complex multiplication using Verilog. Here I have called a module inside an always block. But, I got an error in the line "adder d(acc,m,clk,rst,out1);" (line 29) as error: syntax error near "adder". I tried to solve this problem in different ways but still showing the same error. Please help me to solve the error. The code for the specific module where the error is present is attached.
 

Attachments

  • New Text Document.txt
    1.1 KB · Views: 93

A module cannot be instantiated inside an always block. Think as a module as a chip on circuit board, and an always block as the behaviour of a circuit on a board. You cannot add and take away chips on the board conditionally, they must be there all the time.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top