Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Aya2002

  1. Aya2002

    Need help to draw graph of Peak to average power

    To Plot the PAPR, use the following Matlab Code:- first calculate the PAPR as follows PAPR=10*log10(max(abs(d_ifft).^2) / mean(abs(d_ifft).^2)); then you can plot it as follows: slice=200; figure; [N,X] = hist(PAPR, slice); semilogy(X,1-cumsum(N)/max(cumsum(N)),'k'); good luck
  2. Aya2002

    [SOLVED] VHDL port map error in Quartus II

    I was sure you will answer my question. Therefore, I await your answer. Thank you very much. You are always solve my problems. Today, the answer was very good, especially when you tweaked me. This made me find out many mistakes, and I thank you very much. In fact, I am really started self...
  3. Aya2002

    [SOLVED] VHDL port map error in Quartus II

    Hello Friends, Kindly, I am writing a code for FIFO - RAM to use it with my UART controller. Now I got the code for the first part which is the FIFO + RAM. In fact it is not my code, I found it on the net which is as follows: library IEEE; library work; use IEEE.std_logic_1164.all; use...
  4. Aya2002

    [SOLVED] VHDL if statement error

    Thank you both of you Solved. It must be inside a process.
  5. Aya2002

    [SOLVED] VHDL if statement error

    Hi friends, I am designing a FIFO handler but I face an error message in the If statement. Part of my code is: library ieee; use ieee.std_logic_1164.all; -- FIFO Handler Entity FIFO_Handler IS Port(Rx_Ready : in std_logic; Data : in std_logic_vector(7 downto 0); Tx_Req : out...
  6. Aya2002

    [SOLVED] Error: Can't find name for bus

    solved thanks. as you said. it is my mistake
  7. Aya2002

    [SOLVED] Error: Can't find name for bus

    no difference, I showed the error in the attachment
  8. Aya2002

    [SOLVED] Error: Can't find name for bus

    I have the same error, it highlighted the right part of the design using blue color when I double click on the error message. See the figure below:
  9. Aya2002

    [SOLVED] Error: Can't find name for bus

    Hello Friends would somebody please help me to correct the error that i face. please see the attached picture. I am using Quartus II Version 8.0, schematic design. when i compile the design I face the Error: Can't find name for bus. I am new on Quaetus. please help.
  10. Aya2002

    [SOLVED] GPIO to max232 interfacing

    I would Like to thank you very much. I re-built the circuit and every think is working properly without errors. - - - Updated - - - thank you FvM very much. I re-built the circuit and every think is working properly without errors.
  11. Aya2002

    [SOLVED] GPIO to max232 interfacing

    using SignalTap, I see that the Rx is always logic 1 and the Tx may change from logic 1 to logic 0. - - - Updated - - - Yes, I did this test and look what i got Tx>Rx 00110101 >11111111 01001111 >11111111 but note what a funny results i got: using your test and still it connected to the...
  12. Aya2002

    [SOLVED] GPIO to max232 interfacing

    as soon as I send any char from the pc to the FPGA, I receive 11111111 (or FF). I can not detect any thing on my pc from the FPGA when i try to send. However, I used the VHDL code with the onboard rs232 and everything is fine. - - - Updated - - - I do double check for all the connections and...
  13. Aya2002

    [SOLVED] GPIO to max232 interfacing

    Hello my colleagues, Now I connected the MAX3232. The supply voltage is 3v3 (pin 29). I did not see my expected results!!!
  14. Aya2002

    [SOLVED] GPIO to max232 interfacing

    i faced the same problem, I think it is better to try the MAX3232. I will buy tomorrow one MAX3232 and try again thank you for your help - - - Updated - - - pin 2 = 7.5 volt pin 6 = -7.5 volt Note the supply now is 3v3 and the capacitors are 1 uF but the same problem is appeared !!!
  15. Aya2002

    [SOLVED] GPIO to max232 interfacing

    Ok, I will try now to change it to 1 uF and see.

Part and Inventory Search

Back
Top