Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] GPIO to max232 interfacing

Status
Not open for further replies.
Please do a loopback test (no flow control), if you get an echo then he problem is probably related to the FPGA side

MAX232_loopback.gif
 
  • Like
Reactions: Aya2002

    Aya2002

    Points: 2
    Helpful Answer Positive Rating
In other words, you receive a start bit? A way to check the RS232 interface and FPGA UART design wouldbe to watch the RX and TX signals with an oscilloscope. The received RX line and internal UART signals can be monitored with SignalTap.

using SignalTap, I see that the Rx is always logic 1 and the Tx may change from logic 1 to logic 0.

- - - Updated - - -

Please do a loopback test (no flow control), if you get an echo then he problem is probably related to the FPGA side

View attachment 82111

Yes, I did this test and look what i got

Tx>Rx
00110101 >11111111
01001111 >11111111

but note what a funny results i got: using your test and still it connected to the FPGA> when i send 00110101 from PC the FPGA can receive it correctly, and Now i can transmit from FPGA to PC with som errors but after a while, all transmission from FPGA to PC became correct :) with the existing loopback :roll:

- - - Updated - - -

am confused :shock:
 

Yes, I did this test and look what i got

Tx>Rx
00110101 >11111111
01001111 >11111111

but note what a funny results i got: using your test and still it connected to the FPGA> when i send 00110101 from PC the FPGA can receive it correctly, and Now i can transmit from FPGA to PC with som errors but after a while, all transmission from FPGA to PC became correct :) with the existing loopback :roll:


Did you use a terminal or your own application to send the value 00110101 and you got back 11111111 ?
If you type characters to the terminal, do you always get the same result back?

The loopback was done with the FPGA disconnected from the MAX232 right?

I'm also not sure of the connection with the FPGA while the loopback bridge is on place , this would mean that you have connected two inputs and two outputs all together?

If the MAX232 to PC connection is not working properly then you should recheck everything , this has to be fixed before you attempt to connect the FPGA.
 
  • Like
Reactions: Aya2002

    Aya2002

    Points: 2
    Helpful Answer Positive Rating
Did you use a terminal or your own application to send the value 00110101 and you got back 11111111 ?
If you type characters to the terminal, do you always get the same result back?

The loopback was done with the FPGA disconnected from the MAX232 right?

I'm also not sure of the connection with the FPGA while the loopback bridge is on place , this would mean that you have connected two inputs and two outputs all together?

If the MAX232 to PC connection is not working properly then you should recheck everything , this has to be fixed before you attempt to connect the FPGA.

I would Like to thank you very much. I re-built the circuit and every think is working properly without errors.

- - - Updated - - -

In other words, you receive a start bit? A way to check the RS232 interface and FPGA UART design wouldbe to watch the RX and TX signals with an oscilloscope. The received RX line and internal UART signals can be monitored with SignalTap.

thank you FvM very much. I re-built the circuit and every think is working properly without errors.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top