Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Arturi

  1. A

    [SOLVED] NOP (no operation) in verilog?

    what about #0; This is pretty much a "nop" operation. What do you reckon?
  2. A

    PSL equivalent for SVA action block

    Yes sir! So I use verilog hierarchical probes to tap the nets no matter where I am in the design.
  3. A

    PSL equivalent for SVA action block

    french equivalent of sva Hello all, my verilog testbench has a global variable that counts the number of errors during a simulation. Every time an error is detected during the simulation the global variable gets incremented. At the end of the simulation, the testbench checks this variable to...
  4. A

    perfroming 9bit arithmetics in C

    Hi all, what's the trick to perform 9 bit arithmetics in C? I am modeling a hardware design and I need to perform signed 9bit arith with saturation. Any ideas?? Cheers
  5. A

    check for the drive strength of a net

    Hi all, how can I check in verilog (or PLI/VPI) for the drive strength of a net? Your help is appreciated (and recognized). cheers,
  6. A

    Berkley video lectures

    Guys, anyone managed to record Berkley's rm streams in good quality? I would like to be able to watch the lectures offline cheers
  7. A

    Need info about designing clock dividers

    Re: clock dividers To divide by 2 you can simply use a toggle flop. In other words you connect the QN output to the D input of the flop. The Q output will toggle with half the frequency of the clock you connect to the CLK port of that flop.
  8. A

    how to check that a clock is running in an elegant way?

    Dear all, how would you check that a clock is actually running using verilog? How would you check that this same clock has changed its polarity?? Your help is appreciated and of course recognized. cheers!
  9. A

    uncomplete reset assignmet to reg, What happens in Synth???

    Dear all, I have a piece of code that describes a 13-bit flip-flop being reset to a 10-bit pattern: reg [`MSB20:0] reg20; always @(posedge clk or negedge rstb_regs) if (~rstb_regs) reg20 <= 10'b00_1000_0000; else ...... In simulation I see that bits 13:10 are reset to zero. But what...
  10. A

    Using correlation to find the right group delay compensation

    Hello, I am filtering a test signal (Tsig) with a FIR and then calculating the RMS error against a reference signal (Ref). The FIR adds a group delay to my test signal which needs to be compensated before I can calculate the error. For an FIR, I believe that delay compensation should be N/2...
  11. A

    Low pass filtering an upsampled Ramp signal

    low pass filter response for ramp signal Hello, could you guys please give me an explanation why if I low pass filter an upsampled (zero stuffed) ramp signal I get some oscillation which increases in amplitude with time? The time frame that I am looking is very big in comparison to the...
  12. A

    can u give significance of C language in VERIFICATION ?

    Hi, C/C++ is surely one of the most used languages for hardware verification. Either for testbench code or for reference modeling. In both cases integrated to the logic simulator through a DPI/PLI/FLI interface or a SystemC shell. In processor driven testbenches where verification engineers...
  13. A

    What delay cell is and how to use this cell?

    Re: what is delay cell ? Usually you have a set of delay cells with different delay values, they are all footprint compatible (same dimensions), This compatibility facilitates timing adjustments because you can freely change any delay cells you use at a given point in the circuit. They have...
  14. A

    ModelSim waveform printing question

    Post this question at mentor's suportnet. I am sure they have a hint for you. cheers
  15. A

    Suggestions for low cost ASIC synthesis tool

    Just for my info (I am a front-end engineer): Which tool extracts a layout transistor netlist from the gate-netlist? cheers!

Part and Inventory Search

Back
Top