Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

PSL equivalent for SVA action block

Status
Not open for further replies.

Arturi

Member level 2
Joined
Sep 13, 2006
Messages
52
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,288
Activity points
1,617
french equivalent of sva

Hello all,

my verilog testbench has a global variable that counts the number of errors during a simulation. Every time an error is detected during the simulation the global variable gets incremented. At the end of the simulation, the testbench checks this variable to decide if the simulation is a PASS or a FAIL.

This works fine for HDL checkers but not for PSL assertions.

How can I increment this global variable when a PSL assertion fires?

SVA supports this with action blocks. Does PSL has something equivalent? I read something about a ended() construct but still not sure how to use it.

Any feedback is welcome.

cheers
 

Yes sir!
So I use verilog hierarchical probes to tap the nets no matter where I am in the design.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top