Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hoangthanhtung

  1. H

    How to insert your IO pads and POWER pads to your design ?

    insert pad cells You should follow manual of standard cell to insert IO pad and power pad by changing netlistfile for the best performance.
  2. H

    Why "Initial" statement in Verilog is not synthesizable?

    Synthesis I hope you can find question from this site https://www.asic-world.com/verilog/synthesis2.html
  3. H

    God help me ! Cadence 5141 installtion on Linux all versions

    --- help me ! Cadence 5141 installtion on Linux all versions I guest that "^M" causes by space character when you save env. file in text mode. It is not suitable with Linux (binary mode). Let check it again
  4. H

    about modelsim question? Please help me.

    You should save in term of *.do file from waveform screen.
  5. H

    SYnchronus or Asynchronus reset design in FPGA device ?

    it is similar to gate_clocking if you want to use synschronous reset.
  6. H

    Is there any example about layout by using astro

    Could you give a link about automatical layou by using astro (from nestlist) ?. I need detail examples
  7. H

    measure Vil Vih Vol Voh in cadence

    voh vih vil vol In DC analysis, you use two function "deriv" and "cross" of wavescan tool
  8. H

    How to use ISCAS benchmark circuit ?

    iscas benchmark circuit Is there anybody know about this problem ?
  9. H

    How to use ISCAS benchmark circuit ?

    iscas benchmark circuits Who can help me ? It is very urgent
  10. H

    How to use ISCAS benchmark circuit ?

    iscas benchmark I heard that if you want to test a new standard cell (area, power, delay ...), everybody should use ISCAS benchmark circuits (see site: **broken link removed**) If I design a set of standard cell (OR, INV, NAND ...) in full-custom design, how can I test my logic gates with...
  11. H

    Measure static power, dynamic power and leakage power ?

    My circuit is very large (wavelet chip) and I want to measure static power, dynamic power and leakage power seperately ? Is there any efficient method to do that ?
  12. H

    Measure static power, dynamic power and leakage power ?

    How can I measure static power, dynamic power and leakage power seperately by using Affirma Analog Circuit Design Env. (Simulator : Spectre) ?
  13. H

    Whats the maximum clk for spartan3 FPGA?

    clk of fpga You should check datasheet of Spartan3 FPGA on Xilinx's websiteto find the limited range of clk freq. In addition, you can check the freq. of crystal oscillator on board.
  14. H

    Need help with module simulation using ModelSim

    Simulation with Verilog I have a module based on Verilog. I also have input/output data files in term text file. Theyt contain signed integers and is generated by Matlab. I want to Simulation my module by using ModelSim like as - Read input file correspond with clock signal as a testbench...
  15. H

    help me...vhdl code for fft

    Why you donn't use FFT compiler, otherwise you can find many source code in opencores.org or textbook "DSP with FPGA" (in downloaded sub-box) Good luck

Part and Inventory Search

Back
Top