Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Why can't we have intra assignment delay in Verilog code?

Status
Not open for further replies.

msumanreddy

Newbie level 5
Joined
Nov 25, 2006
Messages
9
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,283
Activity points
1,326
why can't we have intra assignemt delay in continuous statement in the verilog code like
assign x = #5 clk
 

Re: verilog code

see first here x should be declared as wire . so the value is should be calcualted at the 0ns simultion time and the valuue have to assign after 5ns .so X is net not register ,hence illegal statement
 
Re: verilog code

see first here x should be declared as wire . so the value is should be calcualted at the 0ns simultion time and the valuue have to assign after 5ns .so X is net not register ,hence illegal stateme
 

Re: verilog code

The reg data type holds a value until a new value is driven onto it in an initial or always block. The reg type can only be assigned a value in an always or initial block, and is used to apply stimulus to the inputs of the DUT. The wire type is a passive data type that holds a value driven on it by a port, assign statement or reg type. Wires can not be assigned values inside always and initial blocks.
 

verilog code

when synthesising, the tool will omit the timing delay automatically. so after that, assign x = #5 clk will be equal to assign x= clk.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top