Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what is synthesizable

Status
Not open for further replies.

moh_monem43

Member level 1
Joined
Nov 16, 2005
Messages
39
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Location
EGYPT- SAUDI ARABIA
Activity points
1,570
hello
i don't understand this statment
Real : real number ranging from -1.0E38 to +1.0E38 . not synthesizable.
synthesizable and not synthesizable . what means this words??
thanks
 

Hi Friend,

In field of VLSI ,
the word synthesizable plays a key role.

Actually whatever design code (RTL or structural) that you write is converted into a synthesizable netlist, Where your modular approach becomes a connected gate list.

i.e. Suppose you write a RTL code for adder,
then this adder becomes a list of logically connected gate list (XOR, AND, etc ) which can be automatically implemented using tools...

Now codes that can be converted to a valid netlist for generating the hardware are said to be synthesizable..

In digital logic the concept of real numbers is not valid, for we have the logics as the two basic integers 0 and 1.

Even the IEEE standardized concepts of Strong/ weak signals donot go into the fractional or decimal point value of the number. Thats why it is not synthesizable.
Hope you are clear now.
 
Synthesizable means that it could be mapped to a hardware circuit.
Real data type are not synthesizable beacuse decimal point represntation in H/W is not confined.

Thanks
Haytham
 

Means the HDL code can be translated into physical circuits such as shift registers, counters etc. which may or may not be functionally correct.

Most synthesis tools for FPGAs do not support real numbers.
 

well, it's as if the tool is converting your RTL into a structural form or a netlist of gates...according to its own rules...
 

Dear Friends.

Use fixed-point number only for real number problem.

It is enough for everything.
 

Synthesizable means converting your HDL into actual components (like gates,flops etc)
For example you write a code in verilog :
and(d,b,c);

This sentence "synthesizes to" an And gate, that is, this sentence results in creation of an AND gate.
It means c and b are the inputs for the and gate and d is the output.
 

synthesizable means a RTL can be teansform inlto hardware by synthesis tools

such as DC.

best regards




moh_monem43 said:
hello
i don't understand this statment
Real : real number ranging from -1.0E38 to +1.0E38 . not synthesizable.
synthesizable and not synthesizable . what means this words??
thanks
 

Hi,

DC you mean direct currnet. It is an analog value and how you can model it directly without any process of rounding or truncating. Can you kindly come up again in detail.
 

DC means Design Compiler, a tool from synopsys which converts u r RTL to gate level netlist(mapped to u r technology cells)
 

If you really need to deal with real numbers (most often you can work with integers or two's complement), then you will need to take care of the different bits and pieces yourselve (sign, mantissa, exponent).

I can assure you that this is really complex for beginners and even experienced programmers try to avoid it as it slows down the system performance. But if you really need the dynamic range, well, no other option then.

Success with your project
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top