Twix25
Newbie level 3
Hello,
I have got a warning in implementation of my vhdl code but I didn't find the problem cause
warning msg:
delay code
\]
I have got a warning in implementation of my vhdl code but I didn't find the problem cause
warning msg:
Code:
WARNING:Xst:2677 - Node <map28/out_del_3> of sequential type is unconnected in block <top>.
WARNING:Xst:2677 - Node <map28/out_del_2> of sequential type is unconnected in block <top>.
WARNING:Xst:2677 - Node <map28/s_delay_3> of sequential type is unconnected in block <top>.
delay code
Code:
entity Delay is
Port ( clk : in STD_LOGIC;
reset: in STD_LOGIC;
in_del : in STD_LOGIC_VECTOR (31 downto 0);
out_del : out STD_LOGIC_VECTOR (31 downto 0));
end Delay;
architecture Behavioral of Delay is
signal s_delay: STD_LOGIC_VECTOR (31 downto 0);
begin
process (clk)
begin
if clk='1' and clk'event then
if reset='0' then
out_del <= s_delay;
s_delay <= in_del;
else
out_del <= "00000000000000000000000000000000";
end if;
end if;
end process;
end Behavioral;
\]