Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog: Behavioral to Structural (gate level) Help

Status
Not open for further replies.

gjivan72

Newbie level 4
Joined
Jul 5, 2010
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,324
Hi,

I am trying to convert Verilog from behavioral to structural/gate level Verilog. I have been using Synopsys Design Compiler for the mos,t part but I have hit a problem with one of my designs. When ever I compile it the simulations dont match before synthesis. I was wondering if anyone knew another method. I have to feed the structural Verilog to another tool. I have been trying to see if XLINX ISE could do it but I have had no luck.

Thanks for any help,
-GJ
 

gjivan72 said:
Hi,

I am trying to convert Verilog from behavioral to structural/gate level Verilog. I have been using Synopsys Design Compiler for the mos,t part but I have hit a problem with one of my designs. When ever I compile it the simulations dont match before synthesis. I was wondering if anyone knew another method. I have to feed the structural Verilog to another tool. I have been trying to see if XLINX ISE could do it but I have had no luck.

Thanks for any help,
-GJ

You may want to try out a good Linter prior to synthesis to see if it can detect code style issues out there. See: atrenta.com for expensive option, aldec.com (ALINT), realintent.com (Ascent, only in USA as of now)

If you can show the RTL code here, we maybe able to help better - or maybe your synthesis log file?

Good Luck
TeamCVC
www.cvcblr.com/blog
 

Thanks alot for your reply. It turns out I forgot to get rid of a few delays I had. They were not needed but were in some small modules I use previously. Thanks for the reply.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top