Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VCS, NC-Verilog and Modelsim, which is the best simulator??

VCS, NC-Verilog and Modelsim, which is the best simulator??

  • VCS

    Votes: 0 0.0%
  • NC-Verilog/NC-VHDL/NC-Sim

    Votes: 0 0.0%
  • Modelsim

    Votes: 0 0.0%
  • Others

    Votes: 0 0.0%

  • Total voters
    0
Status
Not open for further replies.
Re: VCS, NC-Verilog and Modelsim, which is the best simulato

modelsim can't handle bigger design.
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

I am not familiar with NC_Verilog and ModelSim, but VCS is pretty good from my own experience.

Could any one tell me the main differences between them?

regards,
jordan76
 

I think VCS is easy to use!
 

I use nc-verilog only command mode to dump VCD, then use Debussy to see the waveform. This works perfect.
 

modelsim is more popular,but i use VCS
 

the NC-verilog is the best on the unix platform
and modsim is better on the PC.
 

ModelSim is the easest and cheapest that is the why I prefer to use ModelSim
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

Chooeing between them mostly depends on your project!
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

Hi guys,

I voted for Modelsim, I'm so use to it... :?

I'm not sure if we should talk about licensing costs here, but...could we compare prices? people say Modelsim is cheap...how cheap? we use Modelsim in the company but not sure about the licensing costs...

--maestor
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

ONCE AGAIN ANOTHER OF THIS STUPID FORUMS WHAT IS BETTER ... SIMULATION ENVIROMENTS ARE VERY COMPLEX is not like somebody is using tons if this thigs so he can use at will and has a preference for a PARTICULIAR FLAVOR .. Is at first a matter of price then it migh be reqired by your employer ..
I hate to see a lot of this FORUMS asking for wich one is the best
Otherwise i suggest to answer
wich women are the best!
Italian ? swedish . mexican ,canadian ,french ,chinese ect .!
 

ncverilog is better,for its tcl interface
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

HI
I only have the modelsim5.6
Can i download free version of two others softwares for sharing my opinion?
thanks
 

For running regression VCS is good, because it is fast, For deugging purposes u can use modelsim
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

I hadn't use nc-verilog,so i choose vcs between vcs and modelsim.
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

I mostly use NC-vhdl, and I feel it is easy to learn, so it may be the best to beginer
 

Few years ago Fintronic FinSim was the fastest Verilog simulator on the market. I am wandering is this company still alive.
I used a lot VCS and NC-verilog in the last couple of years and ModelSim and FinSim before that.
My vote is going to the Cadence (nc-verilog). From speed point of view it is similar to the VCS (and both are much faster then ModelSim). I found nc-verilog and cadence waveform viewer (SimVision) much more nice to work with (i don't wanna say user friendly) then VCS.
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

Modelsim and NC, both are good.
 

MODELSIM WORKS WELL ON PC AND MUCH EFFICIENT FOR A SMALL DESIGN!
NC AND VCS PERFORM BETTER ON WORKSTATION AND FOR BIG DESIGN !AND I THINK NC DO BETTER THAN VCS!
 

Re: VCS, NC-Verilog and Modelsim, which is the best simulato

boor said:
i think NC_verilog is better, using it with Debussy is best. :wink:

I agree with boor. In my experience, I have done simulation on VCS, Modelsim and VerilogXL with Debussy.

In my opinion, VCS and VerilogXL (+Debussy) is the best on unix platform. It is very easy to use and the most important is debugging. VerilogXL +Debussy make my life easier when debugging a large design.

As for Modelsim, it is cheap compare with those tier 1 tools (synopsys & cadence) and it works very well in windows. But for large design simulation, I migth consider other tools.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top