Dijskstra
Newbie level 5
- Joined
- Jun 28, 2014
- Messages
- 9
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 1
- Activity points
- 93
Hi all,
Consider this code
When I try to synthesize ISE outputs in the console:
-
Why "unreached" ?
Thank you
Anders
Consider this code
Code:
process (clk, reset)
begin
if (reset = '1') then
pr_state <= idle;
elsif rising_edge(clk) then
pr_state <= nx_state;
end process;
process (pr_state)
begin
case pr_state is
when idle =>
output_pin <= 'Z';
nx_state <= request_low;
when request_low =>
output_pin <= '0'; -- send '0' to output pin
reset_counter <= '1'; reset to a counter that waits a fixed amount of time
if (ready_counter = '1') then -- while counter not zero
nx_state <= request_low; -- stay in the current state
else
nx_state <= request_high; -- else jump to next state
end if;
when request_high =>
output_pin <= '1' -- send '1' to output pin
.
.
.
-- other status
.
.
.
end case;
end process;
When I try to synthesize ISE outputs in the console:
-
------------------------------------------
State | Encoding
-------------------------------------------~
idle | 0000000
request_low | 0000001
request_high | unreached
.................. | unreached
.................. | unreached
.................. | unreached
Why "unreached" ?
Thank you
Anders