Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

to see a sine wave at the output of xilinx simulator

Status
Not open for further replies.

Anuja Diggikar

Junior Member level 1
Joined
Oct 21, 2010
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,395
Hi,

I created adaptive filter with xilinx 9.1i. The VHDL was created fine as well as synthsized ok. However, when I simulate the design, I expect to see a sine wave at the output, but I don't.
I see output values however, but the it is not in an analog format.

Does anyone know how to display the signal ?

Thank you
 

That should be TTL format. Series a resistor and a DC block, then check it in SA.
 

That should be TTL format. Series a resistor and a DC block, then check it in SA.

Thanks for reply
But i didn't get what u mean?
I want to see output of vhdl code in analog waveform instead of digital
I am using xilinx9.1i for coding and simulation both
pl. guide me
Thanks in advance
 

Hi,

If I understand you correctly you just want to change how the value is displayed? You use ISE for simulation? AFAIR ISE is not able to display analog signals as other simulators can. On thing you could do is to write the values to a file and use another tool (matlab, gnuplot, ...) to plot the waveform.

It has been a while that I have used the ISE simulator, so you could check whether they have incorporated the feature in the meantime. If you click right on the signal, there should be a way to choose the radix (a list like: Decimal (Signed), Decimal (Unsigned), Binary, Hexadecimal, ASCII).
If there is an analog option, use it, otherwise ISE does not support it.

If you are using ISE/modelsim than you should be able to simply change the radix of the signal to analog (via the GUI or the modelsim console ("radix analog")).
 

Thanks for reply
I check it on my simulator but it don't have analog option. Now I decide to do data translation between Matlab and xilinx ISE simulator. I have written testbench for reading file (.txt) which contains matlab data and result of simulation i stored in again text file that i read in matlab for analysis of result . My problem is matlab works with floating point and ISE with fixed point . I want to read .wav file from matlab into ISE simulator. pl. tell me how to convert floating point(double)values of .wav file into fixed point(binary string) so as to store it in text file and again how to convert fixed point(binary string) into floating point(double)values for matlab analysis. I need solution very argently.
thank u. in advance.
anuja
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top