Follow along with the video below to see how to install our site as a web app on your home screen.
Note: This feature may not be available in some browsers.
sorry.Now i upload pictures of my modelsim window,first i compile this project in quartus,after succesful compilation i run Tools/Run Simulation Tool/RTL simulation.after this i choose Compile and compile cordic_sample_gener_tb.vhd,then add all signals in object window,than press run all/but simulation didt started,i pressed run but all the signals are in red colorView attachment 128064View attachment 128065
cordic_sample_gener_inst_clk_bfm : component altera_avalon_clock_source
generic map (
CLOCK_RATE => 50000000,
CLOCK_UNIT => 1
)
port map (
clk => cordic_sample_gener_inst_clk_bfm_clk_clk -- clk.clk
);
The clock shown in the waveform display in post #7 (the first signal in the list) shows U which means it's undriven between 1.350 ns and 2.350 ns. So either the module doesn't immediately drive the clock at time 0 ns and you therefore haven't run your simulation long enough or it's never driven. Try running the simulation for 100 ns or more (up to maybe 1us) and see if the clock shows up.and what do you mean about "you don't even have a clock" I should put some code which describe the initial status of my signals?
Warning (12188): OpenCore Plus Hardware Evaluation feature is turned on for the following cores
Warning (12190): "NCO Compiler" will use the OpenCore Plus Hardware Evaluation feature
Warning (265072): Messages from megafunction that supports OpenCore Plus feature
Warning (265073): Messages from megafunction that supports OpenCore Plus feature NCO MegaCore
Warning (265074): The output signals fsin_o and fcos_o are forced low when the evaluation time expires
Warning (265069): Megafunction that supports OpenCore Plus feature will stop functioning in 1 hour after device is programmed
Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature.
Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details
Warning (332060): Node: clk was determined to be a clock but was found without an associated clock assignment.
Info (13166): Register cord_gen_nco_ii_0:nco_ii_0|asj_dxx:ux002|altshift_taps:dxxpdo_rtl_0|shift_taps_v5n:auto_generated|altsyncram_c2b1:altsyncram2|ram_block5a1 is being clocked by clk
Warning (210042): Can't convert time-limited SOF into POF, HEX File, TTF, or RBF
Warning (332060): Node: clk was determined to be a clock but was found without an associated clock assignment.
Info (13166): Register cord_gen_nco_ii_0:nco_ii_0|cord_2c:cordinv|cordic_y_res_2c[1] is being clocked by clk
Warning (332060): Node: clk was determined to be a clock but was found without an associated clock assignment.
Info (13166): Register cord_gen_nco_ii_0:nco_ii_0|cord_2c:cordinv|cordic_y_res_2c[1] is being clocked by clk
Warning (332060): Node: clk was determined to be a clock but was found without an associated clock assignment.
Info (13166): Register cord_gen_nco_ii_0:nco_ii_0|cord_2c:cordinv|cordic_y_res_2c[1] is being clocked by clk
vcom -reportprogress 300 -work work /home/kostya/Desktop/fff/cordd/testbench/cordd_tb/simulation/cordd_tb.vhd
# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014
# Start time: 14:37:13 on Apr 18,2016
# vcom -reportprogress 300 -work work /home/kostya/Desktop/fff/cordd/testbench/cordd_tb/simulation/cordd_tb.vhd
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package NUMERIC_STD
# -- Compiling entity cordd_tb
# -- Compiling architecture rtl of cordd_tb
# End time: 14:37:13 on Apr 18,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
vsim -do corddic_run_msim_rtl_vhdl.do -i -l msim_transcript work.cordd_tb
# vsim -i -l msim_transcript -do "corddic_run_msim_rtl_vhdl.do"
# Start time: 14:37:26 on Apr 18,2016
# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading ieee.numeric_std(body)
# Loading work.cordd_tb(rtl)
# ** Warning: (vsim-3473) Component instance "cordd_inst : cordd" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/testbench/cordd_tb/simulation/cordd_tb.vhd
# ** Warning: (vsim-3473) Component instance "cordd_inst_clk_bfm : altera_avalon_clock_source" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/testbench/cordd_tb/simulation/cordd_tb.vhd
# ** Warning: (vsim-3473) Component instance "cordd_inst_in_bfm : altera_conduit_bfm" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/testbench/cordd_tb/simulation/cordd_tb.vhd
# ** Warning: (vsim-3473) Component instance "cordd_inst_out_bfm : altera_conduit_bfm_0002" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/testbench/cordd_tb/simulation/cordd_tb.vhd
# ** Warning: (vsim-3473) Component instance "cordd_inst_rst_bfm : altera_avalon_reset_source" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/testbench/cordd_tb/simulation/cordd_tb.vhd
# do corddic_run_msim_rtl_vhdl.do
# if {[file exists rtl_work]} {
# vdel -lib rtl_work -all
# }
# vlib rtl_work
# vmap work rtl_work
# Model Technology ModelSim LE vmap 10.3d Lib Mapping Utility 2014.10 Oct 7 2014
# vmap -modelsim_quiet work rtl_work
# Modifying /home/kostya/altera/15.0/modelsim_ase/linuxaloem/modelsim.ini
#
# vlib cordd
# ** Warning: (vlib-34) Library already exists at "cordd".
# vmap cordd cordd
# Model Technology ModelSim LE vmap 10.3d Lib Mapping Utility 2014.10 Oct 7 2014
# vmap -modelsim_quiet cordd cordd
# Modifying /home/kostya/altera/15.0/modelsim_ase/linuxaloem/modelsim.ini
# vlog -vlog01compat -work cordd +incdir+/home/kostya/Desktop/fff/cordd/synthesis/submodules {/home/kostya/Desktop/fff/cordd/synthesis/submodules/cordd_nco_ii_0.v}
# Model Technology ModelSim ALTERA vlog 10.3d Compiler 2014.10 Oct 7 2014
# Start time: 14:37:29 on Apr 18,2016
# vlog -reportprogress 300 -vlog01compat -work cordd "+incdir+/home/kostya/Desktop/fff/cordd/synthesis/submodules" /home/kostya/Desktop/fff/cordd/synthesis/submodules/cordd_nco_ii_0.v
# -- Compiling module cordd_nco_ii_0
#
# Top level modules:
# cordd_nco_ii_0
# End time: 14:37:29 on Apr 18,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
# vcom -93 -work cordd {/home/kostya/Desktop/fff/cordd/synthesis/cordd.vhd}
# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014
# Start time: 14:37:29 on Apr 18,2016
# vcom -reportprogress 300 -93 -work cordd /home/kostya/Desktop/fff/cordd/synthesis/cordd.vhd
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package NUMERIC_STD
# -- Compiling entity cordd
# -- Compiling architecture rtl of cordd
# End time: 14:37:29 on Apr 18,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
vcom -reportprogress 300 -work work /home/kostya/Desktop/fff/cordd/synthesis/cordd.vhd
# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014
# Start time: 15:36:36 on Apr 18,2016
# vcom -reportprogress 300 -work work /home/kostya/Desktop/fff/cordd/synthesis/cordd.vhd
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package NUMERIC_STD
# -- Compiling entity cordd
# -- Compiling architecture rtl of cordd
# End time: 15:36:36 on Apr 18,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
vcom -reportprogress 300 -work work /home/kostya/Desktop/fff/cordd/synthesis/cordd_tb.vhd
# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014
# Start time: 15:36:36 on Apr 18,2016
# vcom -reportprogress 300 -work work /home/kostya/Desktop/fff/cordd/synthesis/cordd_tb.vhd
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package NUMERIC_STD
# -- Compiling entity cordd_tb
# -- Compiling architecture rtl of cordd_tb
# End time: 15:36:36 on Apr 18,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
vsim -do corddic_run_msim_rtl_vhdl.do -i -l msim_transcript work.cordd work.cordd_tb
# vsim -i -l msim_transcript -do "corddic_run_msim_rtl_vhdl.do"
# Start time: 15:36:49 on Apr 18,2016
# Loading std.standard
# Loading std.textio(body)
# Loading ieee.std_logic_1164(body)
# Loading ieee.numeric_std(body)
# Loading work.cordd(rtl)
# Loading work.cordd_tb(rtl)
# ** Warning: (vsim-3473) Component instance "nco_ii_0 : cordd_nco_ii_0" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd File: /home/kostya/Desktop/fff/cordd/synthesis/cordd.vhd
# ** Warning: (vsim-3473) Component instance "nco_ii_0 : cordd_nco_ii_0" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb/cordd_inst File: /home/kostya/Desktop/fff/cordd/synthesis/cordd.vhd
# ** Warning: (vsim-3473) Component instance "cordd_inst_clk_bfm : altera_avalon_clock_source" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/synthesis/cordd_tb.vhd
# ** Warning: (vsim-3473) Component instance "cordd_inst_in_bfm : altera_conduit_bfm" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/synthesis/cordd_tb.vhd
# ** Warning: (vsim-3473) Component instance "cordd_inst_out_bfm : altera_conduit_bfm_0002" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/synthesis/cordd_tb.vhd
# ** Warning: (vsim-3473) Component instance "cordd_inst_rst_bfm : altera_avalon_reset_source" is not bound.
# Time: 0 ps Iteration: 0 Instance: /cordd_tb File: /home/kostya/Desktop/fff/cordd/synthesis/cordd_tb.vhd
# ** Warning: (vsim-8684) No drivers exist on out port /cordd_tb/cordd_inst/fsin_o, and its initial value is not used.
# Therefore, simulation behavior may occur that is not in compliance with
# the VHDL standard as the initial values come from the base signal /cordd_tb/cordd_inst_out_fsin_o.
# ** Warning: (vsim-8684) No drivers exist on out port /cordd_tb/cordd_inst/out_valid, and its initial value is not used.
# Therefore, simulation behavior may occur that is not in compliance with
# the VHDL standard as the initial values come from the base signal /cordd_tb/cordd_inst_out_out_valid.
# do corddic_run_msim_rtl_vhdl.do
# if {[file exists rtl_work]} {
# vdel -lib rtl_work -all
# }
# vlib rtl_work
# vmap work rtl_work
# Model Technology ModelSim LE vmap 10.3d Lib Mapping Utility 2014.10 Oct 7 2014
# vmap -modelsim_quiet work rtl_work
# Modifying /home/kostya/altera/15.0/modelsim_ase/linuxaloem/modelsim.ini
#
# vlib cordd
# ** Warning: (vlib-34) Library already exists at "cordd".
# vmap cordd cordd
# Model Technology ModelSim LE vmap 10.3d Lib Mapping Utility 2014.10 Oct 7 2014
# vmap -modelsim_quiet cordd cordd
# Modifying /home/kostya/altera/15.0/modelsim_ase/linuxaloem/modelsim.ini
# vlog -vlog01compat -work cordd +incdir+/home/kostya/Desktop/fff/cordd/synthesis/submodules {/home/kostya/Desktop/fff/cordd/synthesis/submodules/cordd_nco_ii_0.v}
# Model Technology ModelSim ALTERA vlog 10.3d Compiler 2014.10 Oct 7 2014
# Start time: 15:36:52 on Apr 18,2016
# vlog -reportprogress 300 -vlog01compat -work cordd "+incdir+/home/kostya/Desktop/fff/cordd/synthesis/submodules" /home/kostya/Desktop/fff/cordd/synthesis/submodules/cordd_nco_ii_0.v
# -- Compiling module cordd_nco_ii_0
#
# Top level modules:
# cordd_nco_ii_0
# End time: 15:36:52 on Apr 18,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
# vcom -93 -work cordd {/home/kostya/Desktop/fff/cordd/synthesis/cordd.vhd}
# Model Technology ModelSim ALTERA vcom 10.3d Compiler 2014.10 Oct 7 2014
# Start time: 15:36:52 on Apr 18,2016
# vcom -reportprogress 300 -93 -work cordd /home/kostya/Desktop/fff/cordd/synthesis/cordd.vhd
# -- Loading package STANDARD
# -- Loading package TEXTIO
# -- Loading package std_logic_1164
# -- Loading package NUMERIC_STD
# -- Compiling entity cordd
# -- Compiling architecture rtl of cordd
# End time: 15:36:52 on Apr 18,2016, Elapsed time: 0:00:00
# Errors: 0, Warnings: 0
#
add wave sim:/cordd/*
add wave sim:/cordd_tb/*
run -all
run
run
run
run