Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] Synthesis using Synopsys Design Compiler of Verilog Encrypted Source Code File (.vp file) that was generated using Synopsys VCS

Status
Not open for further replies.
Joined
May 7, 2023
Messages
2
Helped
0
Reputation
0
Reaction score
1
Trophy points
3
Activity points
20
I am trying to synthesize using Synopsys Design Compiler a .vp file that was encrypted using Synopsys VCS simulation tool, it is a part of a large project where there are multiple RTL Verilog files and this is the only one that is encrypted and is integrated to the whole system, it ran very well on VCS and there were no compilation or simulation problems. I tried everything so that DC could read it and synthesize it along with the other RTL Verilog files but nothing worked with me. Anybody has an idea how could I make it work ?
 

Hello Kyrillos,
First of all, encrypted files are used all the time with IPs from different vendors; you can encrypt an RTL file of your choice and use it in both simulation and synthesis just to get a feel of how encrypted (or as Synopsys call them, protected) files are used.

Encrypted files are recognized by DC and VCS, just read them:
Code:
vcs top.v top_tb.v enc.vp
./simv

On encrypting a file, you are provided with plenty of options, to mention a few:
1- Encrypt only the body of the module (leave the module interface visible).
2- Encrypt the file to be only used within Synopsys tools.
3- Encrypt the file to be used only in simulation (say, a vendor wants to release an IP for simulation only and you need another license to synthesize it).

You must always ask if the encrypted file provided to you can be used "during synthesis" and "is it compatible with Synopsys tool".


Hope this made things a bit clear.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top