Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] SDC file

Status
Not open for further replies.

prajapati_

Newbie
Joined
May 15, 2024
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
25
What is SDC (Synopsys Design Constraints) and what does it consists of? Can anyone explain this. I'm a lot confused.
 

First - define the clocks of your design and period of these clocks.
Second - define input/output delays of pins of your design.
And some other timing related constraints (multicycle, false path, max/min delay ...)

These constraints are needed for optimization of your design.
 

SDC (Synopsys Design Constraints) is a format used to specify the design constraints for digital circuits during the electronic design automation (EDA) process. It is primarily used in the synthesis and timing analysis of digital designs. These constraints guide the EDA tools to optimize the design for performance, power, and area, ensuring that the final implementation meets the desired specifications.
Here is an article. I am not sure if you've checked it already.
 

What is SDC (Synopsys Design Constraints) and what does it consists of? Can anyone explain this. I'm a lot confused.
In simple words SDC contains clock definitions, exceptions ( false path, multicycle path ) and other timing constraints related information. Kndly elaborate your concerns so that more discussion can happen.

Timing Constraints
 Clock Definition (Time Period, Duty Cycle)
 Timing Exceptions (False Paths, Asynchronous Paths)

— Non-Timing Constraints
 Operating conditions
 Wire load models
 System interface, Design rule constraints (DRVs - Max. Cap./ Transition/Fanout)
 Area constraints, Multi-voltage and Power optimization constraints
 Logic assignments
 

SDC file is based on Tool Command Language (Tcl). This file is input to synthesis process and physical design process.
SDC will define your intent in term of various timing. You can guide synthesis tools and PD tools with the constraints. It is not guaranteed that all the constraints you specify will be met. EDA tools will not meet the constraints if they are aggressive. In that case you can relax a bit and re-run synthesis/PD. EDA tools will try to meet your constraints.
(Previous responses have explained in detail about all the constraints)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top