Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Synopsys Design Compiler

Status
Not open for further replies.

njr@1

Junior Member level 2
Joined
May 7, 2015
Messages
22
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
118
Dear all

When I try to synthesis the Design ware example in DC and give the clk some period why am I not able to see the clk period in the timing report or the report_qor! it says critical path slack as uninit and critical psth clk period as n/a !

Please let me know.

Thank you
 

create_clock "clk" -period 5 [get_ports clk] in this way.
 

This is correct. But are you know whether there are valid paths within the design that use this clock?
 

yes. There are valid path.
 

Then you can try a report_timing command on a valid path..See what it reports..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top