soloktanjung
Full Member level 6

Hello friends,
I have problems when analyzing vhdl files in the synopsys design compiler. I do not know why this is happen because I synthesized the same design in virtex4 fpga without a problem. Can anyone please point me out what cause the error below?
This is the errors:
Thanks in advance!
Hairo
I have problems when analyzing vhdl files in the synopsys design compiler. I do not know why this is happen because I synthesized the same design in virtex4 fpga without a problem. Can anyone please point me out what cause the error below?
Code:
signal cnt_req : std_logic_vector(1 downto 0);
process(clk_i)
begin
if (clk_i'event and clk_i = '1') then
if rst_i = '1' then
cnt_req <= (others => '0');
else
if ctrl_in_wr_en_o_temp = '1' and ctrl_in_sent_i = '1' then
cnt_req <= cnt_req;
elsif ctrl_in_wr_en_o_temp = '1' then
cnt_req <= cnt_req + '1'; --line 604
elsif ctrl_in_sent_i = '1' then
cnt_req <= cnt_req - '1'; --line 606
end if;
end if;
end if;
end process;
This is the errors:
Code:
Error: ./HDL/ctrl_in.vhd:604: The lhs width=2 does not match the rhs width=4 of the assignment statement. (ELAB-992)
Error: ./HDL/ctrl_in.vhd:604: The lhs width=2 does not match the rhs width=4 of the assignment statement. (ELAB-992)
Error: ./HDL/ctrl_in.vhd:604: The lhs width=4 does not match the rhs width=2 of the assignment statement. (ELAB-992)
Error: ./HDL/ctrl_in.vhd:606: The lhs width=2 does not match the rhs width=4 of the assignment statement. (ELAB-992)
Error: ./HDL/ctrl_in.vhd:606: The lhs width=2 does not match the rhs width=4 of the assignment statement. (ELAB-992)
Error: ./HDL/ctrl_in.vhd:606: The lhs width=4 does not match the rhs width=2 of the assignment statement. (ELAB-992)
Thanks in advance!
Hairo