Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Simulation tool producing specific pattern of waveforms ????

Status
Not open for further replies.

firozjdang

Junior Member level 2
Joined
Feb 15, 2009
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,419
Is any one aware that there is any simulation tool which can take in any VCD file or any other format waveform file and display the waveforms as a pre-defined value for a particular pattern of data.

Suppose, I have a message consisting of Header + body, then instead of displaying the binary values, it should display that particular Data Name???
for 0x00 -> Reset
0x 01 -> Message1
something like this.....

Thanks!
 

The only way I can think of doing this (in VHDL) would be have some signal which is an enumerated type and put your message into that signal. Maybe something like...

signal message:std_logic_vector(7 downto 0); --this is your message data

type mess_type is (RESET,MESSAGE1,MESSAGE2)
signal dummy:mess_type;
.
.
.
case message is

when x00=>
dummy<=RESET,
when x01=>
dummy<=MESSAGE1,
 

Thanks for your reply, well this can also be done in Verilog using #define, but I mean to say that instead of wave forms (1' & 0's) Is there any possibility that I can display the NAME of a particular SEQUENCE lets say 1010101 as LNA message and 0101111 as Info message...in the display window....????
 

Thanks for your reply, well this can also be done in Verilog using #define, but I mean to say that instead of wave forms (1' & 0's) Is there any possibility that I can display the NAME of a particular SEQUENCE lets say 1010101 as LNA message and 0101111 as Info message...in the display window....????

That's what I just showed you. At least, it will work that way using ActiveHDL, which shows the enumerated state names in the simulation. What simulator are you using?
 

Thanks again, I have a huge binary text file with all the data inside it of one signal, it has header + timestamp + messages of that particular signal, I will be developing a C++ code for reading this file and converting it into VCD format. The data which I have is not from simulation but is real time data. So the idea is to have a simulator which can read this VCD file and display waveforms and also the name of headers where there are header messages......

Well thanks for the Active HDL tool...I am checking it out....
 

Ah, I missed the part about trying to read in the VCD file. I'm not sure Active HDL can do what you want, though. Good luck.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top