Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Searching in a memory array

Status
Not open for further replies.

appu1985

Member level 2
Joined
Jun 10, 2007
Messages
52
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,627
How can we code in verilog to find a minimum value in a array .
 

Same way as you would do it in C (or whatever language you use):


- use a counter to address the whole array one by one:

at seach start set register to max value, and coutner to 0.

for every data read:
- compare output with a register
- if lower, load register with read value


Hope this helps.
 

Its ok..but if u could show me this with the help of some example... pls
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top