Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Programmable Duty Cycle Clk Signal

Status
Not open for further replies.

Edward_2288

Member level 4
Joined
Mar 7, 2004
Messages
71
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
725
Hi,

I want to design a programmable duty cycle clock signal, based on 32kHz reference clock. the programmable duty cycle clock signal ha
s frequency of 1kHz. the duty cycle can vary in term of 5%, i.e. 5%, 10% ... 95%.

so anyone has the idea with minimum hardware constraint.
thanks.if possible, can you show the verilog code for this module.
 

a free PWM core is available here.
**broken link removed**
 

is there any simplified version of the verilog code? I cant really understand it. thx
 

seemed easy,
but your reference clk is not appropriate
 

The master clock u are chooosing must be high enough to generate all the possible duty cycle...

think some counter logic would solve the job...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top