Problem with Design Compiler an tetramax

Status
Not open for further replies.

danilo

Newbie level 1
Joined
May 30, 2007
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
Hi

I mada a synthesys with design Compiler and the process ends without error.
I saved the netlist in verilog format.
I try to read this netlist with Tetramax, but the bus / wire contention ability check fails due to a wire gate.
The error is

Error: Wire gate (997) failed contention ability check for drivers 994 and 996. (Z3-1)

Any suggestion?

Thanks a lot in advance
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…