Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

problem in AMS simulation in virtuoso 5.1.4

Status
Not open for further replies.

madihasheikh

Newbie level 1
Joined
Jun 30, 2015
Messages
0
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
0
hi, I am new user of cadence incisive unified simulator. I want to run a mixed signal simulation. I am able to run a mixed signal simulation of a design consisting of a verilog module and an analog schematic module, when using cells only from analogLib in the schematic. The problem is when I use the cell from the foundry library there are errors in running the simulation. These are,
ncelab: *W,DLCILIB: Library name 'silcmos018' not found, defaulting to 'SILCMOS018'. Please see nchelp on this error.
ncelab: *W,CUNOUN: Cannot find any unit under SILCMOS018.pto:symbol in the design libraries.
ncelab: *E,CUCFUN: instance 'M1' of the unit 'pto' is unresolved in 'test_inv.inv_schem:schematic'.
ncelab: *W,DLCILIB: Library name 'silcmos018' not found, defaulting to 'SILCMOS018'. Please see nchelp on this error.
ncelab: *W,CUNOUN: Cannot find any unit under SILCMOS018.nto:symbol in the design libraries.
ncelab: *E,CUCFUN: instance 'M0' of the unit 'nto' is unresolved in 'test_inv.inv_schem:schematic'.
ncelab: Memory Usage - 14.6M program + 49.8M data = 64.4M total
ncelab: CPU Usage - 0.0s system + 0.0s user = 0.1s total (0.1s, 63.5% cpu)
Error encountered during NC elaboration for configuration test_inv.inv_schem_sim:config.
I have also seen nchelp for these errors, but I do not understand what it means and how to correct it.
Please help me for this problem
 
Last edited by a moderator:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top