Principles of Verilog PLI

Status
Not open for further replies.

satya

Member level 3
Joined
Jun 14, 2001
Messages
61
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Location
bangalore
Activity points
530
Hi all,
Just check this out....
Regards,
- satya
 

Anybody know how to use Microsoft Visual C++ to make a PLI for ModelSim on Windows?

If you have example c source code, please kindly send to me.
 

Hi all,
Have any one good document for starting piont,towards?
Tnx
 

Hi:
You can see modelsim REference manual

chapter 12 -- verilog PLI

In this text, you can fine example of PLI and how to combine visual C++ to compiler
 

One more complex example from nc . It caculate the gate level net toggle rate . You can use it as a helpful tool to measure the quality of test pattern .
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…