pls am new to VHDL .. CAN ANYONE HELP ME WITH THE VHDL CODE FOR A 4-BIT PARALLEL ACCE

Status
Not open for further replies.

krisdan

Banned
Joined
Oct 17, 2014
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
0
Can anyone help me with the vhdl code for a 4-bit parallel access shift registers with its test bench?
Please i really need your urgent reply.
 

hey i helped u already!!
 

Code:

LIBRARY ieee;
USE ieee.std_logic_1164.all;
------------------------------------------------
ENTITY shiftreg4 IS
PORT ( P: IN STD_LOGIC_VECTOR (3 DOWNTO 0);
Clock:IN STD_LOGIC;
LOAD,I:IN STD_LOGIC;
Q:BUFFER IN STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END shiftreg4;
------------------------------------------------
ARCHITECTURE arch OF shiftreg4 IS
BEGIN
PROCESS
BEGIN
WAIT UNTIL Clock'event AND Clock='1';
IF LOAD='1' THEN
Q<=P;
ELSE
Q(0)<=Q(1);
Q(1)<=Q(2);
Q(2)<=Q(3);
Q(3)<=I;
END IF
END PROCESS;
END ARCH;
------------------------------------------------
Code:
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…