out of memory in quartus 10 64-bit

Status
Not open for further replies.

ldhung

Member level 3
Joined
Jul 26, 2004
Messages
64
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
435
Hi,
I use Quartus 10 64-bit, Windows 7, memory is 24GB, but still encountered Out of memory in module quartus_map.exe
Please help me how to solve this problem
 

Interesting, so what kind of design you're trying to synthesize?
 

I synthesize a memory, this is a part that encode 4096 bits to 12 bits (2^12) that I think the Quartus can not synthesize.
 

Well, only for loop with constant expression can be synthesized.

In your code decrement condition (i = i -1) is clearly not a constant, so this is where synthesis tool is breaking down...
 

Oh, thank you. Can I use WHILE loop or another loop instead ?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…