Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

out of memory in quartus 10 64-bit

Status
Not open for further replies.

ldhung

Member level 3
Joined
Jul 26, 2004
Messages
64
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
435
Hi,
I use Quartus 10 64-bit, Windows 7, memory is 24GB, but still encountered Out of memory in module quartus_map.exe
Please help me how to solve this problem
 

Interesting, so what kind of design you're trying to synthesize?
 

I synthesize a memory, this is a part that encode 4096 bits to 12 bits (2^12) that I think the Quartus can not synthesize.
parameter NUM_WORDS = 4096;
parameter ADDR_WIDTH = 12;
reg [NUM_WORDS-1:0] addr;
reg [NUM_WORDS-1:0] temp, temp2;
reg [ADDR_WIDTH-1:0] maddr;

always @(posedge clk)
begin
if (~|temp) temp = addr;
for (i = NUM_WORDS-1; i >= 0; i = i - 1) if (temp==1'b1)
begin
maddr = i;
out = |(maddr);
end
temp[maddr]=1'b0;
end
 

Well, only for loop with constant expression can be synthesized.

In your code decrement condition (i = i -1) is clearly not a constant, so this is where synthesis tool is breaking down...
 

Oh, thank you. Can I use WHILE loop or another loop instead ?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top