lattice constraints file

Status
Not open for further replies.

shaiko

Advanced Member level 5
Joined
Aug 20, 2011
Messages
2,644
Helped
303
Reputation
608
Reaction score
297
Trophy points
1,363
Activity points
18,302
Hello,

What is Lattice's equivalent to Xilinx's UCF file (I/O constraints) ?
 

thanks for the help!

---------- Post added at 18:57 ---------- Previous post was at 18:56 ----------

is it a textual file?
 

It's a text file, but you're better off using the built-in editor. In Diamond, click on 'spreadsheet view' and then select the tab for the type of constraint you want. You can also use 'package view'. They don't call it a constraints editor, but that's what it is.
 
Reactions: shaiko

    shaiko

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…