Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

I need some help on Synopsys constraints.

Status
Not open for further replies.

swgchlry

Member level 4
Joined
Dec 8, 2003
Messages
69
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
718
When I synthesize a design, one port "reg[7:0] data" is an inout port, should I set the output delay or input delay or both? I only set the output delay on "data" in the top design,and then the SynDC indicated that some end-points in the sub design had not been set the max delay constraint. Does it aroused by the unenough constratins? If not, what's the problem?
thx
 

Since it is an inout port, you should include both input and output delays on the port.
 

How do you implement the INOUT port? Not use PADS?
 

As a digital pad, it had better be a input or output pin.
 

the better is set both input/output delay constraitn,
dc/ pt/ astro all can automatically handle it.
I don't know why, "some end point have no max delay constraitn" when you
have set ouptut delay,
if no added PAD, you should use "Z" to implement bidirectional
 

if i want to simulate a design containing a inout signal using active-hdl, what should I do?
 

one port "reg[7:0] data" is an inout port
~~~~~~~~~~ is it right for define an inout port?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top