Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to perform matrix operation in vhdl?

Status
Not open for further replies.

abhineet22

Advanced Member level 4
Joined
Jan 25, 2005
Messages
105
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Location
bangalore
Activity points
1,017
vhdl matrix

can any one tell me that
how to perform matrix operation in vhdl,means like call a matrix,and operation like shifting,substitution ,inverse in vhdl.
have any type of operation in vhdl?
 

matrix in vhdl

matrix operation is very much doable using vhdl.
u can use the array datatype to declare a 2 dimensional array to acheiving the same. most of the vhdl text will give the syntax also for the same.
 

matrix vhdl

Hi,

VHDL is a hardware programming langage

You can make your own lib with function/procedure to compute matrix like any other programmation langage (ADA, C ....) !

Why you want use VHDL for matrix operation?

There is some software like Matlab that have all features for this task
 

matrix inversion vhdl

I agree with sam31,.. I'd leave VHDL or Verilog to do hardware functions and let firmware deal with matrix operations.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top