How to implement Divider in Xilinx FPGA (NOT CLOCK DIVIDER)

Status
Not open for further replies.

ukyo

Newbie level 2
Joined
Feb 24, 2002
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
53
xilinx divider

Thanks in advanced!

I need divider for my thesis job!
Details is Fixed point,
Dividend = 32 bit
Divisor = 16 bit
Quotient <= 30 bit
Reminder = 16bit

Frequency minimum = 70 MHZ
RTL code sample
Xilinx FPGA VII 6000 -4
Thanks again
[/img]
 

divider fpga

Hi there are many methods to do this. You can refer a cody and waites books for this. There are many IEEE papers on this. search google for "division algorithms fixed point"
BRM
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…