Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to identify timing loops in design compiler

Status
Not open for further replies.

mepriyasingh

Member level 2
Joined
Sep 20, 2015
Messages
42
Helped
0
Reputation
0
Reaction score
0
Trophy points
6
Activity points
255
i want to know that if suppose i found that there are 5 timing loops in my design by loop report. then how can trace the input and output of timing loos.
 

Try "check_timing -include {loop}" will help to report all cell/pin in the loop. Mostly loop issue can be broken by set_disable_timing command if you know an timing arc is constant or does not exist in your current mode of operation.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top