Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to dump FSDB file in Modelsim_Linux,with Debussy_NT

Status
Not open for further replies.

freelysolo07

Newbie level 4
Joined
Apr 14, 2006
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,323
Hi, all

I have modelsim_linux, and debussy_NT, i use verilog,

How can i dump fsdb file?

Thanks very much

Added after 11 minutes:

If possible, can every give me the related PLI files provided by Debussy_Linux?

Because the only PLI_WINNT files in the Debussy_NT install dir

Thanks
 

Hi ,
I don't know about WINNT ,but for LINUX / Solaris you can find the PLI files in the installation path . Link your PLI tab files to your simulator .The linking varies with simulator .

Then you can dump using ,

initial
begin
$fsdbdumpfile(file_name);
$fsdbdumpvars(level,instance_path);
end

Regards
Chandhramohan
 

do you want to watch the waveform using debussy?
this way :
you can dump the VCD file use Modelsim
then use the nWave to open the VCD file
nWave can change the VCD file the fsdb format automatically
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top