Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to do division in VHDL

Status
Not open for further replies.

xilinx1001

Member level 3
Joined
Apr 3, 2013
Messages
60
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,781
Hi,

How can I do division in VHDL?

Is there any other method of doing division in VHDL other than right shifting?



Regards
xilinx1001
 

Repeated subtraction is one method i can think of. There are a lot of dedicated algorithms.

Look up tables too is possible.
 

Repeated subtraction is one method i can think of. There are a lot of dedicated algorithms.

Look up tables too is possible.


Hi,

Thanks for ur reply

Can ou please give me the link for division by repeated substraction in VHDL


Regards
xilinx1001
 

How can I do division in VHDL?

  • If you have newer version of Xilinx,you can use numeric_std package & use '/' operator. The division operator is recognized by ieee.numeric_std.I am not sure if you can use this package with older versions of Xilinx.
  • numeric_std is valid only if your inputs are of datatypes UNSIGNED/SIGNED/NATURAL/ INTEGER.
  • With the old synopsys libraries, division is however suppported for integer datatype, so maybe you can conversions if that is simple for you.
 
Last edited:

Hi,

Thanks for your reply. I am using older version of xilinx. I need to do use a number like 2500000 for division. This number exceeds integer range. How can I use division for this number without initializing it as integer?

Regards
Vivek Alaparthi
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top