how to control the register output name in DC

Status
Not open for further replies.

chico

Junior Member level 1
Joined
Dec 29, 2004
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
152
A is a 6bits register, in the output netlist, the registers' output are n12,n32,n35,etc. I want the result are A[5], A[4] …… A[0], what should I do? Thank you!
 

you can try command as below:
set hdlout_internal_busses true
set bus_inference_style "%s\[%d\]"
change_names -rules verilog -hierarchy
 

Thank you stormwolf, these command are exist already. I find this phenomena is on QN output only register, and the Q is disapper, how to prevent DC optimize these Q ouput disapper?
 

try this command
set verilogout_show_unconnected_pins true
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…