Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] How do I track down the cause of the Modelsim error(vsim-86) ?

Status
Not open for further replies.

dpaul

Advanced Member level 5
Joined
Jan 16, 2008
Messages
1,802
Helped
317
Reputation
635
Reaction score
343
Trophy points
1,373
Location
Germany
Activity points
13,096
I am compiling my RTL written in VHDL2008 using Modelsim DE 64 10.5.
THe compiler throws the following error just at simulation start...
Code:
# ** Error: (vsim-86) Argument value -8 is not in bounds of subtype NATURAL.
#    Time: 0 ps  Iteration: 1  Instance: /top_tb/path_inst1/path_inst2/......./ff_inst
# ** Error: (vsim-86) Argument value -1 is not in bounds of subtype NATURAL
.
.

I understand that natural is a subtype for integer and has values: 0 to integer'high.

For the sub_module ff_inst, none of my signal declarations are of type natural.

So how to find in my code the source of this error?
 
Last edited:

I presume the reason can be revealed if you show the code.
 

Ok....got the problem at the end of the day.
So my colleague changed values of two top level design constants and moved them from the top TB to the package file (I was not looking thorouglhy through the committed changes). I could only track it down after reverting to my last working commit.
The ModelSim error confused me and I was focusing at what the heck could be wrong with my sub-module (which was untouched from my colleague's commit) which was not showing any problem till yesterday night and before I pulled in the new changes.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top