Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Help With Synopsys DC Syntax

Status
Not open for further replies.

giggs11

Member level 3
Joined
Apr 15, 2004
Messages
57
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
661
hi,

I've written my setup file as such:

target library {core_cell.db}
link_library {* IO_cell.db Wire_load.db}
symbol_library {core_cell.sdb IO_cell.sdb}

Assuming that the syntax above is right, My questions are:

1) Can two .sdb libraries be declared under symbol library?

2) IO_cell.db contains all the IO buffers to be used. Should be declared together with core_cell.db under target library...?

I'm also trying to set the drive strength of one the inputs in the design to the drive of an output pin of an IO buffer. The problem is the IO_cell.db is declared in link_library. So when i run the command below :

set_drive -rise drive_of (IO_cell/IBUF/Z) "iInput2"

The compiler reports an error because the library can;t be found. How do i write the command above to use a cell from a library in the link library...?

Help much needed and thus hugely appreciated,
Thanks.
 

you can include IOlib to target lib to use drive_of(), but remember to dont_use all IO cell in synthesis

or you can read the lib and find the dirve number of the port, then add it manually.
 

    giggs11

    Points: 2
    Helpful Answer Positive Rating
One thing you should note. The actual library reference name is defined in the library itself, not the file name.

You should better check the true library name using report_lib command.
 

More than one library can be declared in symbol library. No problem with that.
core_cell.db should be declared in target_library as well.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top