Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

help needed in vhdl simulation in ncvlog

Status
Not open for further replies.

Anil Rana

Junior Member level 1
Joined
Jun 24, 2005
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,478
ncverilog vhdl

hi all
what are the commands/steps needed in simulation and waveform viewing for ncvlog while the code is in vhdl.We don't have the gui capability in our cadence enviroment.
thanks
 

ncvlog vhdl

You don't have the Manual capability in your environment either?

Create appropriate cds.lib and hdl.var files
ncvhdl filename.vhd (or -help for list of options)
ncelab top_level_entity
ncsim top_level_entity

And how do you expect to view waveforms without a GUI, exactly? I don't think Ive ever heard of a cadence installation without GUI

simvision wavedir.shm
 

use ncvlog to compile vhdl files

thanks ammoccormack
but the problem is after compiling.elaborating and simulating if i open the waveform winndow by giving the simvision cmd i can't see any w/fs.It may be due to i don't have any "dump" file like in verilog.

ammoccormack wrote:
And how do you expect to view waveforms without a GUI, exactly? I don't think Ive ever heard of a cadence installation without GUI

simvision wavedir.shm

My question is there is any othe code other than the normal vhdl code which can be incorprated in the main testbench to view the waveform as is norm with the verilog for example.?
 

ncverilog probe -disable example

Hi Anil,
I don't quite understand what you mean by "we don't have GUI" - is there a special license? Anyway, look back in this forum, this question has been answered several time, briefly:

ncsim top_cfg -tcl

ncsim> probe -shm -all -depth all
ncsim> run;exit

Why not try "cdsdoc" or look in $CDS_INST_DIR/doc ??
 

compiling vhdl with ncverilog

I don't quite understand what you mean by "we don't have GUI" - is there a special license?
Actually when i tried for waveform viewing using ncsim -gui cmd ,the simulator prompted to use ncsim -message cmd since some licencse problem was there.
and hence the question to invoke simvision using ncsim -message cmd.hope you people got this
regards
 

ncsim probe shm

aji_vlsi's code for running at the ncsim tcl command is good. One you have dumped your probes into an shm directory, you can do simvision *.shm from the command line, and if that doesn't work, you may need to use a -vcd option to the probe command and use another waveforms viewer.
 

compile vhdl 2001 with ncsim

ncvlog is a verilog parser ONLY.....

are your trying to use VHDL in NCverilog enviroment?
 

ncverilog create cds library from simvision

yeah, ncvlog just for verilog, not include vhdl.
ncvlog is part of ncsim, ncsim include verilog & vhdl.
did you have ncsim feature?
 

ncverilog gui

The description about NCverilog simulator

NcVerilog simulator is consist of three part. ncvlog, the verilog parser; ncelab, the verilog native compiler (elaberator); and ncsim, the verilog simulation engine.

The steps for the NCverilog simulation are ncvlog, ncelab and ncsim. At the same time the simulator provide an easy way for these VerilogXL users, the command "ncverilog". If you used VerilogXL before, you could change the "verilog" in VerilogXL simulator to "ncverilog".
 

vhdl ncverilog waveform

yes lucano i am using vhdl in ncverilog. and use ncvhdl -cmds to compile and simulate ,to view waveforms i need help ,since it can be done using ncsim -gui options,and we don't have that feature supported or let's say don't have licence.
That 's why i needed help in opening simvision and observing waveforms.
Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top